pub fn eq_struct_field(l: &FieldDef, r: &FieldDef) -> bool